(FPGA) LCMXO2-400HC (小脚丫STEP-MXO2第二代) >> VHDL

LED


參考資訊:
1. basic

新增專案


專案名稱


Next


LCMX02-4000HC、CSGBA132


Next


專案建立完成


接著新增VHDL檔案



程式如下:

module main(btn, led);

input btn;
output led;
assign led = btn;

endmodule

btn輸入就當做led輸出

用滑鼠點擊Synthesize Design


設定腳位


btn(L14)、led(N13)


在左邊的Process Tab裡面,把所有項目句選


使用滑鼠雙擊Export Files


接著插入FPGA開發板並且確認電腦可以正確識別,如錯誤,記得安裝驅動程式


開啟燒錄頁面




燒錄


完成


返回上一頁