(FPGA) LCMXO2-400HC (小脚丫STEP-MXO2第二代)

接腳


參考資訊:
1. pinout

STEP-MXO2 V2.2


返回上一頁