(FPGA) EG4S20 (Lichee Tang Premier)

編譯燒錄Hummingbird E203(蜂鳥E203)


由於司徒無法直接燒錄Github上面的e203egmini_new.bit檔案,因此,司徒只好自己重新編譯一次,過程如下說明:
1. 下載E203 Source
2. 使用Anlogic TD開啟Tang_E203_Mini\project\e203egmini_new.al
3. 編譯後就可以燒錄產生的e203egmini_new.bit檔案


返回上一頁